library ieee; use ieee.std_logic_1164.all; entity MyXor is port( x : in std_logic; y : in std_logic; z : out std_logic ); end MyXor; architecture Behavior of MyXor is begin z <= x xor y; end Behavior;