MaxplusII Tutorial

MaxplusIIの使い方(under construction)

VHDLソースの作成からシミュレーションや論理合成までの一連の作業を 具体例で説明します.
  1. まず必要な設定を行ないます
  2. maxplusII を起動
    %maxplus2
  3. 1つの vhdl ソースに対して多くの関連ファイルが作られるので,管理しやすい ように予めディレクトリを作っておきます.(ここでは myxor というディレクトリ名を 使います)
    %mkdir myxor
  4. ファイルメニューをクリックし,プルダウンメニューを開き,更にその中の サブメニュー Project を開いて,Name... を選択すると,ファイル名を入力する ためのダイアログが表示されます(下図)
    ※注意:
    1. 以下,このようなメニューの選択を "[File][Project][Name...]を選択"のように 表記します.
    2. UNIX版のMaxplusIIのユーザインターフェイスは Windows95とほとんど同じです. 左ボタンでメニューやボタンなどのオブジェクトを選択し,右ボタンでマウスポインタが 指しているオブジェクトに固有なショートカットメニューを開きます.

    プロジェクト名(ここでは myxor)を入力してから,OKボタンをクリックします. ここでいうプロジェクトとはVHDLなどで書かれたソースファイルや MaxplusIIのによって生成されるネットリストファイル,シミュレーション用 波形ファイルなどを管理するための情報で,トップレベルのモジュール(回路)と 同じ名前を持っています.これから myxor という"回路"を作るので,プロジェクト名を myxorにします. 現在のプロジェクトの中にあるものを[MAX+plus II][Hirachy display]で 見ることができます.


  5. [File][New...]を選択し,出てくるダイアログの Tex Editor file の左にある小さな ボタンをチェックして,OKをクリックします.


  6. テキストファイルを編集するための画面が表示されます.以下のソースを入力します.
    library ieee;
    use ieee.std_logic_1164.all;
    
    entity MyXor is 
      port(
            x : in std_logic;
            y : in std_logic;
            z : out std_logic
            );
    end MyXor;
    
    architecture Behavior of MyXor is
    begin
            z <= x xor y;
    end Behavior;
    


  7. [File][Save as...]でこのソースを myxor.vhd として保存します.
    ※注意:
    選択されているウィンドウによって一番上にあるメニューバーと 操作の対象となるものが変わるので,テキストエディターのウィンドウを クリックしてからメニューを選択しないとテキストデータは保存されません.
  8. [MAX+plus II][Compiler]を選択します.コンパイラーウィンドウが 表示されると同時に,上のメニューバーも変化します.
  9. コンパイラーウィンドウを選択した状態で,[Assign][Device...] をクリックします.デバイス(使うICのこと)を選択するためのダイアログ が画面に表示されます.
    1. Device Family の右にある矢印をクリックして,プルダウンメニューを 開きます.1997年12月の時点で,使えるファミリは2つだけです.大きい方が FLEX10k で,小さい方がFLEX8000です.
    2. Device Family を選択した後に,下に,そのファミリの一覧が表示されます. FLEX8000を選択した場合は,EPF8282ALC84-2をクリックして下さい.

  10. "Compiler" ウィンドウの "Start" をクリックして,コンパイルを 始めます.ソースファイルの中に間違いがあった場合はエラーメッセージ が赤い色(表示色は [Option][Color Palette...]で変更できます)で表示されます. エラーメッセージを左クリックして選択してから,Locateをクリックするとソースの 中の間違った箇所を見ることができます.また,Help on Message をクリックすると エラーの原因や解決方法などを見ることもできます.

    エラーがあった場合はエラーを修正してからもう一度 "Compiler" ウィンドウの Start ボタンを クリックします.
  11. コンパイルが終ると関連ファイルがたくさん作られます.同時に"Hierachy Display" ウィンドウと "Compiler" ウィンドウ作られたファイルに対応するシンボルが追加 されます.シンボルをダブルクリックするとファイルの内容が表示されます.

    例えば,"rpt"というシンボルをダブルクリックすれば,コンパイルの結果(report) が表示されます.

意見などはnguyen-d@fedu.uec.ac.jp までメールでお願いします.