ズバッと解決!! エラー対策 (2001)

ここでは、コンパイル・論理合成・コンパイラー・プログラマー などを動かす際、EError Message で困った経験を記録します。参 考にしてください。

必ず新しいもの順になるように書くこと。

  1. VHDL 言語のエラー 対策
  2. Peak VHDL/VHDAのエラー 対策
  3. MaxPlus のエラー対策
  4. 各種ボードの対策
  5. 情報収集で困った

  • VHDL 言語のエラー 対策
    1. 症状: conponent文を使う際の注意点

      対策: 下位階層のプログラム内でのピン設定はしなくても良い。

      上位階層のプログラム内に下位階層のポートを全て宣言する。

      ポートマップにより下位階層のポート全てに接続する信号を指定する。

      ( by m-abe 2001.7.3)

  • Peak VHDL/VHDAのエラー 対策
    1. 症状: process 文で wait 文 を入れないと error が生じる。

      対策: process 文で挟まない空間におく、process 文に 適当な wait 文 を入れる。

      (by rsaito 2001.5.24)

    2. 症状: a に対する 信号代入文 a<=b を 異る process で入れると error が生じる。

      対策: 一つの process でだけ代入するように直す。if 文での分岐中なら それぞれの分岐先で信号代入文 a<=b があっても良い。

      (by rsaito 2001.5.24)

    3. 症状: 論理合成ができない。

      対策: まず,コンパイルしてみる。そのあと"File"メニューで "Rebuild Hierarchy"を選択する。次に"Options"メニューで "Synthesize"画面でdevice family を "Altera all device"にし,左下の"Include Synopsys library"にチェックを入れる。

      (by m-abe 2001.6.1)

  • MaxPlus のエラー対策
    1. 症状: Max+plus2 Compiler を行った際にCan't find design file Carry_sum となった場合

      対策:Carry_sum はleonardo のライブラリであり、Max+plus2 のバージョンが低いとそのライブラリはカバーしていない。もし使用しているMax+plus2 のバージョンが10.00 以下なら10.01 以上にUpdateする必要がある。Update FileはALTERA のWeb Page からダウンロードすることができる。

      (by inari 2001.12.03)

    2. 症状: Max+plus2 Compiler を行った際にエラーメッセージがCan't find design file … となった場合

      対策:Compiler を立ち上げたときに、Interfaces->EDIF Netlist Reader SettingのVendorの設定を確認する。Leonardo で論理合成したときは、Exempler 、Peak VHDL で論理合成したときはSynopsys を選択。それを行ってもCan't find design file … となるときは、論理合成の最適化がうまくいっていない。信号の衝突等をしていないかソースファイルを確認するべし。

      (by inari 2001.11.06)

    3. 症状: max+plus2 起動時に license server error がでて、コンパイルできないようになった場合

      対策:

      1. UNIX でsuperuser になる。
      2. /local4/maxplus2/adm にあるプログラムmax2protd を実行する。
      3. 具体的には
           --------------------------
           flex% su
           password:
           flex# cd /local4/maxplus2/adm
           flex# max2protd
           --------------------------
           
      4. ライセンスサーバが動いているか確認するには
           --------------------------
           flex# lmutil lmstat
           
           alterad: UP v6.0
             mgcld: UP v6.1
           --------------------------
          となっていればOK!
          
      5. もっと詳しくは こちら(Flex にライセンスサーバインストールの記録)

      (by inari 2001.11.06)

    4. 症状: ファイルを開く際、エラーが出てファイルが開けない。

      対策: ファイルが入ってるフォルダをデスクトップに置いとくのが原因であるからデスクトップから移動させる。

      (by m-abe 2001.6.19)

    5. 症状: "JTAG chain information specified in this dialog box does not match the information detected in hardware"というエラーがでる。

      対策: "Options"メニューで "Hard Ware Setup"を選び、"Byte Blaster"を選択する。

      (by m-abe 2001.6.1)

    6. 症状: 何かの選択をすると白く、device の設定が出ない。

      対策: 何かの設定がなされていないのが原因。

      (by rsaito 2001.5.24)

  • 各種ボードの対策
    1. 症状: UP1 ボードに configuration したのに動かない。

      対策: byte blaster cable がつながっていない。電源が入っていない。 もしくは,ジャンパの設定が正しくない。

      (by rsaito 2001.5.24)

  • 情報収集で困った
    1. 症状: マウスの digital 信号のデータがない。

      対策: IC 番号「EM84502」から、その IC の データシートを Web で検索した。http://www.emc.com.tw/database/SA2/Mc/EM84502.pdf にあった。 => 教訓: 過去の卒論に無いのが問題。卒論には、データシートなど必要な 資料を添付すること。また、使った pdf file は 研究室 Web 上においてリンクし さらに、どこから入手したかの Web も記録すること。

      (by rsaito 2001.5.24)


    理論 Home page.   研究室 Home page.
    コメントまたはアドバイスなどがあれば以下のアドレスへどうぞ。
    ryouma@tube.ee.uec.ac.jp
    齋藤 理一郎 の Home Page へ (rsaito@tube.ee.uec.ac.jp)