TEXIO パッケージの使い方

    ○TEXTIO package
    VHDL では、TEXTIO パッケージを用いることにより、シミュレーションにおいて、file 入出力 による、signal の読み書き や 動作のコントロールができます。
    ○キーワード
    file open write_mode read_mode line readline writeline write read owrite oread hwrite hread endfile
    ○未確認のキーワード
    file_open
    ○ textio の 記述例。
    sample.vhd   in.dat   out.dat

コメントまたはアドバイスなどがあれば以下のアドレスへどうぞ。
ryouma@tube.ee.uec.ac.jp